본문 바로가기
728x90
반응형

verilog HDL3

[Verilog] 베릴로그를 잘 하려면...(1) Verilog HDL이란?우선 HDL은 Hardware Description Language이다. 즉 HW 표현 언어이다.베릴로그는 다른 언어와 다른 언어로 치는 경우가 많은데, HW 설계를 위한 특수 언어이기 때문이다.베릴로그로 모듈을 코딩하면 그 문법에 맞게 HW가 설계되고 반도체가 만들어진다.이외에도 VHDL이 있다. Verilog를 잘 하려면?우선은 문법!우선은 문법이다. 다만 모듈의 예시를 보면서 문법을 공부하는게 좋다.보통 4bit full adder 혹은 ff 등의 정말 간단한 모듈로 시작한다.문법을 공부하면서 항상 생각해야하는 마인드가 있는데,"이렇게 코딩하면 어떤 모듈이 생기겠구나" 하는 마인드.예를 들어 case문을 쓴다고 하면 1. 다른 언어 예시case 문? -> case를 나눠서 .. 2024. 9. 10.
[HDLBits 해설] Vector0 문제 링크 : https://hdlbits.01xz.net/wiki/Vector0 문제 input에 3bit 버스 선언 출력을 4개로 분할하여 할당하십 Module 선언 module top_module ( input wire [2:0] vec, output wire [2:0] outv, output wire o2, output wire o1, output wire o0 ); endmodule 내 풀이 module top_module ( input wire [2:0] vec, output wire [2:0] outv, output wire o2, output wire o1, output wire o0 ); // Module body starts after module declaration assign ou.. 2024. 1. 18.
[HDLBits 해설] 7458 문제 링크 : https://hdlbits.01xz.net/wiki/7458 오늘부터 최소 1문제 이상 업로드 하겠습니다. 문제 설계하십셔. 방법 1. assign으로 output 할당 방법 2. output의 intermediate signals를 wire로 할당 Module 선언 module top_module ( input p1a, p1b, p1c, p1d, p1e, p1f, output p1y, input p2a, p2b, p2c, p2d, output p2y ); endmodule 내 풀이 module top_module ( input p1a, p1b, p1c, p1d, p1e, p1f, output p1y, input p2a, p2b, p2c, p2d, output p2y ); wire p1y.. 2024. 1. 18.
728x90
반응형