본문 바로가기
HW Design/HDLBits

[HDLBits 해설] Vector0

by 한PU 2024. 1. 18.
728x90
반응형

문제 링크 : https://hdlbits.01xz.net/wiki/Vector0

 

문제

input에 3bit 버스 선언

출력을 4개로 분할하여 할당하십

Module 선언

module top_module ( 
    input wire [2:0] vec,
    output wire [2:0] outv,
    output wire o2,
    output wire o1,
    output wire o0  );
    
endmodule
728x90

 

내 풀이

module top_module ( 
    input wire [2:0] vec,
    output wire [2:0] outv,
    output wire o2,
    output wire o1,
    output wire o0  ); // Module body starts after module declaration

    assign outv = vec;
    assign o2 = vec[2];
    assign o1 = vec[1];
    assign o0 = vec[0];
    
endmodule

 

결과

나이스!

반응형

풀이

간단한 bus 할당 문제.

output = input의 HW 흐름을 잘 지켜나가면 어렵지 않은듯.

 

* HW 흐름

Source에서 destination 까지의 설계 흐름.

 

728x90
반응형

'HW Design > HDLBits' 카테고리의 다른 글

[HDLBits 해설] Vector 2  (0) 2024.01.22
[HDLBits 해설] Vector 1  (0) 2024.01.22
[HDLBits 해설] 7458  (0) 2024.01.18