본문 바로가기
HW Design/2. Verilog Practice

[BRAM] BRAM read / write module

by 한PU 2024. 3. 27.
728x90
반응형

Bram이란?

BRAM은 "Block Random Access Memory"의 약자로, FPGA나 ASIC 디자인에서 사용되는 on-board ram chip 이다.

BRAM에 접근하여 read/write 하는 과정은 AI accelerator를 만드는 데에 중요한 작업이다.

 

위와 같은 BRAM을 FSM으로 만들었다.

 

BRAM READ

bram_en이 1이고, bram_wen이 0일때 bram read 동작을 진행한다.

bram_addr에 저장된 주소를 읽고 그 다음 clock에서 bram_rd_data에 주소의 값을 읽어온다.

 

BRAM WRITE & bram_en 동작

fsm을 확인할 수 있다.

728x90
반응형