본문 바로가기
HW Design/1. Verilog HDL Basic

[Verilog Tutorial] level-7 Statement 모음

by 한PU 2024. 1. 13.
728x90
반응형

2024.01.13 - [Project] - [Statement] 1. if문

 

[Statement] 1. if문

if statement 와 case statement 에 대해 배울 것. (복습) always blocks 등의 procedural blocks를 쓰는 이유? 순차적으로 실행되는 베릴로그 code를 작성하기 위해. sequential statements if statement case statement Verilog If Sta

han-pu.tistory.com

728x90

2024.01.13 - [Verilog/Basic] - [Statement] 2. case문

 

[Statement] 2. case문

4 to 1 mux 회로 large mux에 case 문이 많이 쓰임 가독성이 좋아서. always @(*) begin case (addr) 2'b00 : begin q = a; end 2'b01 : begin q = b; end 2'b10 : begin q = c; end default : begin q = d; end endcase end 고려할 점. blocking assignm

han-pu.tistory.com

반응형

2024.01.13 - [Verilog/Basic] - [Statement] 3. 예제 풀이

 

[Statement] 3. 예제 풀이

1. Verilog Design에서 sequential statements를 작성할 때 어떤 blocks를 사용하는가? 더보기 Sequential statements can only be written within a procedural block such as an always block or initial block. 2. if문에서 if를 제외할 때 쓰

han-pu.tistory.com

 

728x90
반응형

'HW Design > 1. Verilog HDL Basic' 카테고리의 다른 글

[Loops] 2. 예제 풀이  (0) 2024.01.16
[Loops] 1. 베릴로그 loop문  (0) 2024.01.16
[Verilog Tutorial] level-6 Testbench 모음  (0) 2024.01.13
[Statement] 3. 예제 풀이  (1) 2024.01.13
[Statement] 2. case문  (0) 2024.01.13