본문 바로가기
HW Design/1. Verilog HDL Basic

[Verilog Tutorial] Level-1 Basic Verilog Module 모음

by 한PU 2024. 1. 2.
728x90
반응형

1. Verilog 의 구조

2023.12.31 - [Verilog/Basic] - [Basic Verilog Module] 1. Verilog 의 구조

 

[Basic Verilog Module] 1. Verilog 의 구조

(https://fpgatutorial.com의 내용을 정리함.) 1. Structuring Verilog Code FPGA Verilog 코딩은 HW 디자인이다. 컴퓨터 프로그래밍이 아니다. 서로 다른 여러 ==컴포넌트==의 동작을 설명해야 한다. 그 이후에 서로

han-pu.tistory.com

2. 모듈 인스턴스화

2024.01.02 - [Verilog/Basic] - [Basic Verilog Module] 2. 모듈 인스턴스화

 

[Basic Verilog Module] 2. 모듈 인스턴스화

Instantiation 이미 작성한 베릴로그 모듈을 호출 가능 베릴로그에서 모듈을 호출하는 과정 Instance 인스턴트화 된 모든 모듈 이를 통해 복잡한 design 만들 수 있음 기존 전자 회로에 부품을 배치하는

han-pu.tistory.com

3. 베릴로그 모듈 예시

2024.01.02 - [Verilog/Basic] - [Basic Verilog Module] 3. 베릴로그 모듈 예시

 

[Basic Verilog Module] 3. 베릴로그 모듈 예시

synchronous counter 설계 예시 parameter를 사용 두 개의 instances로 instantiate instantiation 12-bits output instance 8-bits output instance RTL 제외, module IO 와 연결은 정의 counter module 2 inputs clock reset 1 output counter value par

han-pu.tistory.com

4. 예제 풀이

2024.01.02 - [Verilog/Basic] - [Basic Verilog Module] 4. 예제 풀이

 

[Basic Verilog Module] 4. 예제 풀이

Verilog에서 module의 용도는? 더보기 - We use modules to define the behavior of a compoenet in verilog Verilog module에서 parameter의 용도는? 더보기 - We can use parameters to configure the behavior of our module when we instantiate it Por

han-pu.tistory.com

 

ㅎㅇㅌ

728x90
반응형