본문 바로가기
HW Design/1. Verilog HDL Basic

[Verilog Data Types and Arrays] 3. 배열

by 한PU 2024. 1. 2.
728x90
반응형
  • array types 생성, 사용 가능
    • memories 모델링에 특별히 유용
  • array 선언
    • 변수 이름 뒤에 array 요소를 선언하면 됨.
    • 이 선언은 [[2-2. Basic Data Types in Verilog|벡터 크기 필드]]와 동일한 형식을 취함.
      // array type 선언 일반 구문
      <type> <size> <variable_name> <elements>;
  • 3 bit reg type의 array 만들기 (배열 요소 : 8개)
    reg [2:0] example [7:0];
  • [] 를 사용하여 배열의 개별 요소에 access 가능
    • example 배열의 마지막 요소에 5h 값 할당
      example[7] = 3'h5;
      EDA playground Example을 통해 simulate 할 수 있음.

Multi Dimensional Arrays (다차원 배열)

  • 1995 표준에서는 1차원 배열만 가능
  • 2001 표준은 다차원 배열 지원
    • 필요한 요소의 수를 정의하는 다른 필드를 추가
  • 2차원 배열 예시
    // 2차원 배열의 일반 구문
    <type> <size> <variable_name> <elements> <elements>;
  • 4 bit reg type 배열 선언 (요소가 8개인 2차원 배열 ??)
    reg [3:0] example2d [7:0][1:0];
  • 접근 예시
    example2d [7][1] = 4'ha;
    example2d [7][0] = 4'ha;
    EDA playground Example을 통해 simnulate 할 수 있음.
728x90
반응형