본문 바로가기
728x90
반응형

HW Design/1. Verilog HDL Basic54

[Verilog Tutorial] level-5 Using the Always Block 모음 2024.01.10 - [Verilog/Basic] - [Using the Always Block] 1. Always block 이란? [Using the Always Block] 1. Always block 이란? always block 순차적으로 실행되는 코드 작성 sequential logic 을 설명할 때 매우 중요. 예제 풀이 전에 testbench 관련 내용을 읽고와도 좋음. The Always Block in Verilog Verilog 에서는 procedural blocks (절차적 블록 han-pu.tistory.com 2024.01.10 - [Verilog/Basic] - [Using the Always Block] 2. Blocking, Non-Blocking 할당 [Using the.. 2024. 1. 10.
[Using the Always Block] 4. 예제 풀이 1. continuous assignment 와 procedural blocks의 차이점은? 더보기 We use procedural blocks such as the always block to execute code sequentially in verilog. In contrast, continuous assignment is executed in parallel. 2. 왜 Sensitivity lists를 always block 에서 사용하나? 더보기 They define the list of signals that an always will wait on before resuming the execution of code. 3. blocking 과 non-blocking 할당의 차이점은? 더보기 W.. 2024. 1. 10.
[Using the Always Block] 3. Always Block 과 조합 회로 지금까지는 Always 블록으로 순차 회로 모델링만 고려했음. 조합 회로 모델링도 가능은 하다. // Verilog 2001 always @(a, b, c) begin logic_out = (a & b) | c; end // Verilog 1995 always @(a or b or c) begin logic_out = (a & b) | c; end assign 키워드 대신 always block 사용 조합 논리 회로 모델링 시, sen list 작성 방법 두 가지 회로의 각 입력을 or 또는 , 로 구분 문자를 사용하여 sen list에 포함할 신호를 자동으로 결정할 수도 있음. 문자는 Verilog 2001 표준 // Sen list always @(a, b, c) // Sen list in Veril.. 2024. 1. 10.
[Using the Always Block] 2. Blocking, Non-Blocking 할당 blocking , non-blocking 할당 non-blocking 할당 코드 작성시 2024. 1. 10.
728x90
반응형