본문 바로가기
HW Design/1. Verilog HDL Basic

[Loops] 2. 예제 풀이

by 한PU 2024. 1. 16.
728x90
반응형

1. 계속 실행되는 코드를 만들려면 어떤 loop를 사용해야 하는가?

 

더보기

The forever loop executes continuously.

 

2. 테스트벤치에서 일반적으로 forever loop를 사용하여 구현하는 function에는 어떤것이 있는가?

 

더보기

The forever loop is commonly used to implement a clock signal in a verilog testbench

 

3. for loop와 repeat loop의 차이점은?

 

더보기

The for loop includes a local loop variable which is incremented on every iteration of the loop.

 

4. 8bit shift register 를 구현하는 for loop를 작성하시오.

728x90
더보기
shift[0] <= circuit_in;

for (i = 1; i < 8; i = i + 1) begin
	shift[i] = shift[i-1];
end

 

5. 위 코드를 while loop를 사용하여 구현하시오.

반응형
더보기
shift[0] <= circuit_in;

i = 1;
while (i < 8) begin
	shift[i] <= shift[i-1];
	i = i + 1;
end
728x90
반응형