본문 바로가기
HW Design/HDLBits

[HDLBits 해설] Vector 1

by 한PU 2024. 1. 22.
728x90
반응형

문제 링크 : https://hdlbits.01xz.net/wiki/Vector1

 

문제

16bits, [15:0] input 을 8 bit씩 반반 나눠서 output으로 보내는 combinational circuit 설계

lower [7:0] byte 와 upper [15:8] byte 로 나누시오.

 

Module 선언

`default_nettype none     // Disable implicit nets. Reduces some types of bugs.
module top_module( 
    input wire [15:0] in,
    output wire [7:0] out_hi,
    output wire [7:0] out_lo );

endmodule
728x90

 

내 풀이

`default_nettype none     // Disable implicit nets. Reduces some types of bugs.
module top_module( 
    input wire [15:0] in,
    output wire [7:0] out_hi,
    output wire [7:0] out_lo );

    assign out_hi = in[15 : 8];
    assign out_lo = in[7:0];
    
endmodule

 

결과

 

나이스!

반응형

풀이

단순합니다. in 신호에 15 ~ 0 까지의 lower vector type의 데이터가 저장되어 있습니다.

[15 : 8]은 out_hi로 보내고, [7 : 0]은 out_lo로 보내면 됩니다.

 

 

728x90
반응형

'HW Design > HDLBits' 카테고리의 다른 글

[HDLBits 해설] Vector 2  (0) 2024.01.22
[HDLBits 해설] Vector0  (0) 2024.01.18
[HDLBits 해설] 7458  (0) 2024.01.18